02 October, 2018

Half Subtractor Verilog Code


//BlueTechspot.blogspot.com
module halfsub(a,b,d,br);
input a,b;
output br,d;
wire b,d;
xor (d,a,b);
and (br,~a,b);
endmodule

No comments:

Post a Comment

If you have any Queries, suggestions or requests, Do comment here!