02 October, 2018

4 x 2 Encoder Verilog Code

//BlueTechspot.blogspot.com
module encoder42(d,y);
input [3:0]d;
output [1:0]y;
or(y[0],d[1],d[3]);
or(y[1],d[2],d[3]);
endmodule

No comments:

Post a Comment

If you have any Queries, suggestions or requests, Do comment here!